电路设计基础(三):硬件描述语言(HDL)与Verilog

作者&投稿:鬱傅 (若有异议请与网页底部的电邮联系)
~

在当今的芯片世界中,异构特性日益显著,让我们通过几个实例来一窥究竟:


1. Intel Kaby Lake (2017)

这款64位处理器拥有4核心,8线程,14-19级流水线,时钟频率高达3.9 GHz,集成1.75亿晶体管,展现出了前所未有的复杂架构。


2. Apple M1 (2021)

苹果的M1芯片集成了4个高性能GPU核心,4个高效核心,一个强大的16核神经引擎,以及大量的缓存和高速内存通道,单个芯片便集成160亿个晶体管,规模惊人。


Cerebras Wafer Scale Engine (2019)

特别值得一提的是Cerebras WSE,它是一款拥有400,000个核心的机器学习加速芯片,搭载1.2万亿晶体管,面积达到46,225平方毫米,显示了硬件复杂性的极限。


面对这种复杂性,传统的设计方法已经无法胜任,这就需要一种领域专用语言来精准描述芯片,满足如下关键需求:



  • 清晰表述芯片的内部结构,包括其复杂且精细的组件。

  • 模拟并评估芯片功能和时延性能,实现设计自动化。


因此,Hardware Description Language (HDL)如Verilog和VHDL应运而生。它们的核心理念是层次化设计,通过模块化抽象来控制设计的复杂性,类似于软件编程中的模块和接口设计。


要定义一个module,首先明确输入输出,内部逻辑结构,以及模块名称和接口。以下是一个Verilog示例:



module my_module(
input wire [3:0] input_data,
output reg [7:0] output_result
);

在HDL中,设计既可以采用结构化(Structural,如门级描述)方法,也可以采用行为化(Behavioral,功能描述)方式,两者结合使用能提供更全面的视角。


利用HDL完成设计后,它有两大核心应用:



  1. 合成(Synthesis):将HDL代码转化为实际硬件电路,通过优化寻找最佳实现。复杂的电路可能无法找到最优解,因此可能需要借助于现代技术,如深度学习辅助优化。

  2. 仿真(Simulation):验证电路功能和时延特性,通过指定电路时间参数,确保设计的正确性和可实现性。


尽管HDL并非编程语言,但它遵循编程的基本原则,如模块化、复用、代码可读性和语法优化等。掌握HDL就像掌握任何编程工具一样,需要不断的实践和提升。


无论是顺序逻辑(Sequential Logic)还是组合逻辑(Combinational Logic),HDL都能胜任,关键在于掌握相应的语法规则和注意事项。HDL无疑极大地提升了电路设计的效率,但要真正发挥其威力,熟练运用是关键。



电路设计基础(三):硬件描述语言(HDL)与Verilog
答:利用HDL完成设计后,它有两大核心应用:合成(Synthesis):将HDL代码转化为实际硬件电路,通过优化寻找最佳实现。复杂的电路可能无法找到最优解,因此可能需要借助于现代技术,如深度学习辅助优化。仿真(Simulation):验证电路功能和时延特性,通过指定电路时间参数,确保设计的正确性和可实现性。尽管HDL并非编...

简述基于硬件描述语言的数字电路设计流程及其特点。
答:【答案】:基于硬件描述语言的数字电路设计包含高层次综合、逻辑综合和物理综合三个阶段的工作。高层次综合也称为行为级综合(Behavioral synthesis),它的任务是将一个设计的行为级描述转换成寄存器传输级的结构描述。逻辑综合是将逻辑级的行为描述转换成逻辑级的结构描述,即逻辑门级网表。逻辑综合分成两个...

HDL(硬件描述语言)有什么特点?
答:在用HDL语言设计硬件电路时,就可以使设计者免除编写逻辑表达式或真值表之苦。这样使硬件电路的设计难度有了大幅度的下降,从而也缩短了硬件电路的设计周期。(5) 主要设计文件是用HDL语言编写的源程序 在传统的硬件电路设计中,最后形成的主要文件是电原理图,而采用HDL语言设计系统硬件电路时,主要的设计...

用硬件描述语言设计数字电路有什么优点
答:Verilog 设计法与传统的电路原理图输入法的比较:一个是设计周期明显变短,另外硬件描述语言和工艺是无关的,这个就大大减小了工作量。和硬件相关的一些约束、对芯片的一些要求都可以交给 EDA 工具去做,大大的加快了设计速度,减少了工程师的工作量。软核、固核和硬核。软核( Soft Core )是指功能经过...

硬件描述语言和一般的高级语言有什么不同?
答:三、优势不同 1、硬件描述语言:利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。2、高级语言:与计算机的硬件结构及指令系统无关,有更强的表达能力,可方便地表示数据的运算和程序的控制结构,能更好的描述...

计算机硬件描述语言(VHDL)与编程语言(C语言)的区别及关系,
答:硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过...

快易购关于集成电路设计流程详解 芯片硬件设计包括
答:逻辑综合确定设计描述正确后,可以使用逻辑综合工具(synthesizer)进行综合。综合过程中,需要选择适当的逻辑器件库(logic cell library),作为合成逻辑电路时的参考依据。硬件语言设计描述文件的编写风格是决定综合工具执行效率的一个重要因素。事实上,综合工具支持的HDL 语法均是有限的,一些过于抽象的语法只...

硬件描述语言有几种
答:VHDL和Veriglog并非是针对硬件设计而开发的语言,只不过目前被我们用来设计硬件。HDL是Hardware Description Language的缩写,正式中文名称是“硬件描述语言”。也就是说,HDL并不是“硬件设计语言(Hardware Design Language)”。别看只差这一个单词,正是这一个 单词才决定了绝大部分电路设计必须遵循RTL的...

硬件描述语言vhdl的特点是什么
答:(1)VHDL语言功能强大,设计方式多样 VHDL 语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能。此外,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现,这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活...

用硬件描述语言写3选2逻辑选择器
答:硬件描述语言(英文: Hardware Description Language ,简称: HDL )是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化( EDA )工具...