用硬件描述语言写3选2逻辑选择器

作者&投稿:释昏 (若有异议请与网页底部的电邮联系)
用硬件描述语言设计数字电路有什么优点~

以前的数字逻辑电路及系统的规模的比较小而且简单,用电路原理图输入法基本足够了。但是一般工程师需要手工布线,需要熟悉器件的内部结构和外部引线特点,才能达到设计要求,这个工作量和设计周期都不是我们能想象的。现在设计要求的时间和周期都很短,用原理图这个方法显然就不符合实际了。

Verilog 设计法与传统的电路原理图输入法的比较:一个是设计周期明显变短,另外硬件描述语言和工艺是无关的,这个就大大减小了工作量。和硬件相关的一些约束、对芯片的一些要求都可以交给 EDA 工具去做,大大的加快了设计速度,减少了工程师的工作量。

软核、固核和硬核。

软核( Soft Core )是指功能经过验证的、可综合的、实现后电路结构总门数在 5000 门以上的 Verilog HDL 模型。

固核( Firm Core )是指在某一种现场可编程门阵列( FPGA )器件上实现的,经验证是正确的,且门数在 5000 门以上的电路结构编码文件。

硬核( Hard Core )是指在某一种专用集成电路工艺( ASIC )器件上实现的,经验证是正确的,且门数在 5000 门以上的电路结构版图掩膜。

软核具有最大的灵活性,可以借助 EDA 工具与其他的设计结合起来作为一体,固核和硬核相对而言灵活性就要差很多了,所以我们需要着重发展软核的设计和推广软核的重用技术。另外,用软核构成的器件称为虚拟仪器,国际上专门一个组织叫 “ 虚拟接口联盟 ” ( Virtual Socket Interface Alliance )来协调软核以及虚拟仪器的重复利用方面的工作。
以上回答属整理得来!

  学习FPGA选择VHDL或者verilog HDL。
  其实两种语言的差别并不大,他们的描述能力也是类似的。掌握其中一种语言以后,可以通过短期的学习,较快的学会另一种语言。 选择何种语言主要还是看周围人群的使用习惯,这样可以方便日后的学习交流。 当然,如果是集成电路(ASIC)设计人员,则必须首先掌握verilog,因为在IC设计领域,90%以上的公司都是采用verilog进行IC设计。对于PLD/FPGA设计者而言,两种语言可以自由选择。

  硬件描述语言(英文: Hardware Description Language ,简称: HDL )是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化( EDA )工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路 ASIC 或现场可编程门阵列 FPGA 自动布局布线工具,把网表转换为要实现的具体电路布线结构。
  硬件描述语言(英文: Hardware Description Language ,简称: HDL )是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化( EDA )工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路 ASIC 或现场可编程门阵列 FPGA 自动布局布线工具,把网表转换为要实现的具体电路布线结构。
  这种高层次 (high-level-design) 的方法已被广泛采用。据统计,目前在美国硅谷约有 90% 以上的 ASIC 和 FPGA 采用硬件描述语言进行设计。

用硬件描述语言写3选2逻辑选择器
答:硬件描述语言(英文: Hardware Description Language ,简称: HDL )是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化( EDA )工具...

电路设计基础(三):硬件描述语言(HDL)与Verilog
答:要定义一个module,首先明确输入输出,内部逻辑结构,以及模块名称和接口。以下是一个Verilog示例:module my_module( input wire [3:0] input_data, output reg [7:0] output_result);在HDL中,设计既可以采用结构化(Structural,如门级描述)方法,也可以采用行为化(Behavioral,功能描述)方...

列举计算机的主要硬件设备,并简述其功能。
答:CPU:是一台电脑的核心,相当于人的大脑,是计算与指令的一个集合体。机箱:机箱除了给计算机系统建立一个外观形象之外,还为计算机系统的其它配件提供安装支架。另外还可以减轻机箱内向外辐射的电磁污染,保护用户的健康和其它设备的正常使用。主板:安装在机箱内,也是微机最重要的部件之一,其作用是在BIOS...

《Verilog》·第2章·硬件描述语言基础
答:让我们以逻辑与门为例,它既可以通过逻辑门实例化展现,也可通过连续赋值语句来描述。所有端口默认1位宽,数据类型为wire或reg,根据电路需求灵活选择。图2.2详细展示了这个模块的端口配置。在基本语法方面,Verilog HDL语法与C语言相近,但小写区分标识符,关键字均为全小写。标识符如buaa_index, shiftreg...

VHDL 硬件描述语言与数字逻辑电路设计修订版图书目录
答:以下是VHDL硬件描述语言与数字逻辑电路设计修订版的图书目录概述:第1章深入探讨数字系统硬件设计的革新理念:1.1 传统硬件设计方法的回顾 1.2 引入硬件描述语言(HDL)的创新设计途径 1.3 选择VHDL语言进行电路设计的优势分析第2章详解VHDL编程结构的基石:2.1 VHDL设计的核心单元及其构建要素 2.2 ...

硬件描述语言有几种
答:HDL是Hardware Description Language的缩写,正式中文名称是“硬件描述语言”。也就是说,HDL并不是“硬件设计语言(Hardware Design Language)”。别看只差这一个单词,正是这一个 单词才决定了绝大部分电路设计必须遵循RTL的模式来编写代码,而不能随心所欲得写仅仅符合 语法的HDL代码。二、HDL的来历 ...

硬件描述语言是什么
答:硬件描述语言就是所谓的VHDL,在电子设计中有重要的应用。具体描述这里有:http://baike.baidu.com/view/1201.html?wtp=tt 补充:硬件描述语言可以在某个芯片中实现若干个芯片的功能。比如现在常用的CPLD芯片,他有很多个引脚,可以通过VHDL设计软件,让它实现众多与非门、触发器等等组合逻辑和时序逻辑的...

简述基于硬件描述语言的数字电路设计流程及其特点。
答:【答案】:基于硬件描述语言的数字电路设计包含高层次综合、逻辑综合和物理综合三个阶段的工作。高层次综合也称为行为级综合(Behavioral synthesis),它的任务是将一个设计的行为级描述转换成寄存器传输级的结构描述。逻辑综合是将逻辑级的行为描述转换成逻辑级的结构描述,即逻辑门级网表。逻辑综合分成两个...

VHDL 硬件描述语言与数字逻辑电路设计修订版图书简介
答:《VHDL硬件描述语言与数字逻辑电路设计》是由侯伯亨和顾新两位作者共同编著的,该书由西安电子科技大学出版社于1997年9月出版。这本书的国际标准书号为9787560605340,其十位编号为7560605346。全书共有319页,重量大约为0.474千克,为读者提供了丰富的理论知识和实践指导。对于购买者来说,原定价为人民币...

HDL(硬件描述语言)有什么特点?
答:利用硬件描述语言(HDL)的硬件电路设计方法具有以下特点:(1) 采用自上而下的设计方法 (2) 系统中可大量采用ASIC芯片 由于目前众多的制造ASIC芯片的厂家,他们的工具软件都可以支持HDL语言的编程,因此,硬件设计人员在设计硬件电路时,无须受只能使用通用元器件的限制,而可以根据硬件电路设计的需要,设计...