简易14位数字密码锁控制电路设计,其中的ic9a模块用VHDL硬件描述语言编写

作者&投稿:滑姜 (若有异议请与网页底部的电邮联系)
vhdl硬件描述语言与数字逻辑电路设计第四版还是第三版好~

书名数字逻辑 丛 书 名21世纪高等学校计算机规划教材——精品系列标准书号ISBN 978-7-115-24868-8编目分类TP302.2作者王茜 黄仁 许光辰 编著出版社人民邮电出版社责任编辑刘博开本16 开印张19字数501 千字页数296 页装帧平装版次第1版第1次初版时

一、系统功能概述
数字密码锁实现:1、了不需要带钥匙,只要记住开锁密码即可开锁的功能。2、在输入密码正确后,还可以修改密码。3、在输入密码的过程中,不显示密码,只显示无规律的提示某位密码是否输入完毕,防止了密码的泄漏,大大加强了密码锁的保密性。
本演示系统实现了:1、输入密码正确后,正确小灯(led)亮,错误小灯(led1)不亮;2、正确修改密码后,正确小灯(led)不亮,错误小灯(led1)不亮;3、输入错误密码,正确小灯(led)不亮,错误小灯(led1)亮,并有1KHz闹铃声产生;4、按下reset后,密码归为初始密码。
开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯D3。否则系统进入“错误”状态,并发出报警信号。
开锁程序由设计者确定,并锁内给定的密码是可调的,且预置方便,保密性好。
串行数字锁的报警方式是点亮指示灯D6,并使喇叭鸣叫来报警,报警动作直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。
源代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity code is
port( clk: in std_logic;--电路工作时的时钟信号
clk1: in std_logic;--闹铃产生需要的时钟信号
k: in std_logic;--高电平表示输入1
led: out std_logic;--输入正确时亮
led1: out std_logic;--输入错误时亮
reset: in std_logic;-- 按下时复位
want: in std_logic;--是否修改密码
alarm: out std_logic;--输出闹铃声
show: out std_logic_vector(3 downto 0));--提示作用
end;

architecture a of code is
signal temp: std_logic_vector(3 downto 0);--输入一位加1
signal code: std_logic_vector(7 downto 0);--储存密码
signal getcode: std_logic_vector(7 downto 0);--储存修改后的密码
signal counter: std_logic_vector(3 downto 0);--计数
signal allow: std_logic;--是否允许修改密码
signal ring:std_logic;--是否接通闹铃
begin
process(clk)
begin
if ring='1' then
alarm<=clk1;--闹铃接通
else
alarm<='0';--闹铃截至
end if;
if reset='1' then--按下reset后,密码归为初始密码
getcode<="00000000";--初始密码
counter<="0000";--内部计数
code<="11001000";--密码
led<='0';
led1<='0';
allow<='0';
elsif clk'event and clk='1' then--输入clk脉冲,则接收1位密码
getcode<=getcode(6 downto 0)&k;--将这1位密码并入getcode中的最后一位
if counter="1000" then--输入为8位数码时比较
if code=getcode then
led<='1';--正确灯亮
led1<='0';
ring<='0';
allow<='1';--允许修改密码
elsif allow='1' and want='1' then--如果允许输入且想输入
code<=getcode;--输入新密码
led<='0';
led1<='0';
else
allow<='0';
led<='0';
led1<='1';--错误灯亮
ring<='1';--闹铃响
end if;
counter<="0000";--重新计数
else
counter<=counter+1; --累加
temp<=temp+1;--为防止泄露密码,特别设置
end if;
end if;
show <= temp;
end process;
end;

ic9a程序:LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY IC9A IS
PORT(CLK:IN STD_LOGIC;
CLR:IN STD_LOGIC;
D :IN STD_LOGIC_VECTOR(6 DOWNTO 0);
OUT2:OUT STD_LOGIC);
END IC9A;
ARCHITECTURE ART OF IC9A IS
SIGNAL QH:STD_LOGIC_VECTOR(6 DOWNTO 0);
BEGIN
PROCESS(CLK,CLR)
BEGIN
IF(CLR='1')THEN
QH<="0000000";
ELSIF (CLK'EVENT AND CLK='1') THEN
QH(6 DOWNTO 0)<=D(6 DOWNTO 0);
END IF;
IF(QH&D="00010000100111")THEN
OUT2<='1';
ELSE
OUT2<='0';
END IF;

YHDYHD陆云钟可以到中国logo设计网,在百度搜下,并且价格合理,设计水平高!您可以咨询咨询!YHDYHD

你是哪班的,,???

你用来干嘛的。。。网上好多啊。。。只要改一下就行了。。。

数字地震仪的基本工作原理简介
答:同时控制电路把SAR的次高位置1(Dn-2=1),SAR新的数字输出又加到DAC,DAC新的输出与Vi再次比较,控制电路根据新的比较结果确定是否保留Dn-2。就这样逐位置1、数模转换、比较、保留(或清除),直至最低位,最后DAC的输出Vc与Vi相等或略小于Vi,偏差不超过VREF/2n,这时SAR中的数字量就被看作对Vi转换的结果。 图4-7...

房间的门锁贵一些的会不会比较好?
答:二、 质量比较好 如果我们选择贵一点的门锁,那么质量就是比较好,这样的话门锁不易坏,如果说质量不好的门锁,很有可能在雨淋过后就会出现生锈。自己坏掉的现象,这样的话我们还需要来回换锁,如果多换几次锁的话,那么我们买一个贵的锁子的钱就出来了,这样的话买一个贵的锁还可以给我们省去很多的...

跪求:《数字频率计的设计》 原理,方框图,电路图!
答:2数字频率计的基本设计原理 数字频率计的原理框图如图1所示。他主要由5个模块组成,分别是:脉冲发生器电路、测频控制信号发生器电路、计数模块电路、锁存器、译码驱动电路。�当系统正常工作时,脉冲发生器提供的1 Hz的输入信号,经过测频控制信号发生器进行信号的变换,产生计数信号,被测信号...

求一个计算机毕业论文题目。
答:利用数字电路实现电子密码锁 133. 矩形微带天线的设计 134. 简易逻辑仪的分析 135. 无线表决系统的设计 136. 110kV变电站及其配电系统的设计 137. 10KV变电所及低压配电系统设计 138. 35KV变电所及低压配电系统设计 139. 6KV配电系统及车间变电所设计 140. 交流接触器自动化生产流水线设计 141. 63A三极交流...

FPGA/CPLD应用设计200例的目录
答:34波形发生器电路设计1.35LED数码管动态显示设计1.36流水灯电路设计1.37直流步进电机控制电路设计1.38ADC电压测量电路设计1.39简易电子钟设计1.40数字抢答器1.41序列检测器1.42UART通用异步串行口设计1.43简易周期信号测试仪1.44序列信号发生器1.45通信、雷达和遥测用序列检测器的设计1.46数字密码锁...

大学PLC课程设计一般有哪些题目?
答:基于单片机的电子密码锁 \x0d\x0a17.单片机控制交通灯系统设计 \x0d\x0a18.基于DSP的IIR数字低通滤波器的设计与实现 \x0d\x0a19.智能抢答器设计 \x0d\x0a20.基于LabVIEW的PC机与单片机串口通信 \x0d\x0a21.DSP设计的IIR数字高通滤波器 \x0d\x0a22.单片机数字钟设计 \x0d\x0a23.自动起闭光控...

电子信息工程毕业论文
答:835. 红外遥控密码锁的设计 836. 简易无线对讲机电路设计 837. 基于单片机的数字温度计的设计 838. 甲醛气体浓度检测与报警电路的设计 839. 基于单片机的水温控制系统设计 840. 设施环境中二氧化碳检测电路设计 841. 基于单片机的音乐合成器设计 842. 设施环境中湿度检测电路设计 843. 基于单片机的家用智能总线式开关...

西门子PLCs7-200如何做位置控制PTO输出,位控向导如何用
答:西门子PLCs7-200用位控向导做位置控制PTO输出的方法是:在指令树中选中双击调用,或者在网络中按F9并输入PTO就可以调用了。有锁是因为西门子公司对程序块加密过,因此不能查看源代码,只能调用该块。S7-200系列在集散自动化系统中充分发挥其强大功能。使用范围可覆盖从替代继电器的简单控制到更复杂的自动化...

请详细描述汽车门锁系统可能存在的故障点位?
答:1.锁止器是否动作,如没有动作,很可能是中控系统线路接触不好;2、如果有动作,就可能是锁的机构阻力大,可能的原因一般是生锈;3、也有可能是门锁电动机锁扣位置移动,只需调整一下就好了;4、再一个原因就是门锁电动机坏了、拉力不够,需要换电动机。

毕业论文资料收集(采纳追加1000分)
答:·设施环境中二氧化碳检测电路设计·基于单片机的水温控制系统设计·基于单片机的数字温度计的设计·基于单片机的火灾报警器·基于单片机的红外遥控开关设计·基于单片机的电子钟设计·基于单片机的红外遥控电子密码锁·大棚温湿度自动监控系统·基于单片机的电器遥控器的设计·单片机的语音存储与重放的研究·基于单片机的电加热...